数字式电子秒表设计_第1页
数字式电子秒表设计_第2页
数字式电子秒表设计_第3页
数字式电子秒表设计_第4页
数字式电子秒表设计_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1 设计目的电子技术课程设计是电子信息工程系三个专业的公共课程设计,是模拟电子技术、数字电子技术课程结束后进行的教学环节。其目的是:1、培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。2、学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。3、进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。4、培养学生的创新能力。2 设计要求 1. 秒表由2位七段

2、led显示器显示,其中1位显示“s”,二位显示“0.1s”,显示分辩率为0.1 s;2. 计时最大值为9.9s;3. 计时误差不得超过1s;具有清零、启动计时、暂停计时及继续计时等控制功能;4. 主要单元电路和元器件参数计算、选择;5. 画出总体电路图;6. 安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。7. 调试电路8. 电路性能指标测试9. 提交格式上符合要求,内容完整的设计报告3 总体设计3.1 工作流程图基本rs触发器单稳态触发器译码显示器555定时器 计数/分频器图 1 工作流程图图1中1单元为用集成与非门7

3、4ls00构成的基本rs触发器。属低电平直接触发的触发器,有直接置位,复位的功能。 图1中2单元为集成与非门74ls00构成的单稳态触发器,它的职能是为计数器提供清零信号。 图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。 图1中4单元74ls160构成的计数器/分频器 图1中5单元译码显示单元3.2 原理图:图1为电子秒表的原理图,按功能可分为5个单元电路进行分析。其中单元1为基本rs触发器;单元2为单稳态触发器;单元3为时钟发生器;单元4为计数器和分频器;单元5为译码显示单元。图2 原理电路图 本电路中使用两个基本rs触发器提供置位、复位、和清零信号,555定时器提供时钟脉冲信

4、号,三块74ls160作为译码/分频单元,有效、简洁地完成了秒表所具备的所有功能(清零、启动计时、暂停计时及继续计时)。4 单元电路设计4.1基本rs触发器图中单元1为用集成与非门74ls00构成的基本rs触发器。属低电平直接触发的触发器,有直接置位,复位的功能。逻辑符号 基本rs触发器电路图 基本rs触发器功能表: 表1 基本rs触发器功能表rsq10101011保持00不确定它的一路输出q作为单稳态触发器的输入,另一路输出q作为与非门5的输入控制信号。 按动开关,则门1输出q非为1;门2输出q为0;复位后q、q非状态保持不变。再按动,则q由0变为1,门5开启,为计数器启动作好准备。q非由1

5、变为0,送出负脉冲,启动单稳态触发器工作。 基本rs触发器在电子秒表中的职能是启动和停止秒表的工作。图3 74ls00引脚及内部结构表4.2时钟发生器 555定时器构成了多谐振荡器,是一种性能较好的时钟源。 调节电位器,使在555输出端3获得频率为1015hz的矩形波信号,当基本rs触发器q为1时,门5开启,此时脉冲信号通过门5作为计数脉冲加于计数器的计数输入端cp。图4为555定时器管脚分布图。图4 555引脚图4.3计数器及分频器 74ls161构成的计数/分频器,其中计数器1作为十分频器,对555输出的矩形波信号进行十分频,得到的信号,即在其进位输出端取得周期为0.1s的矩形脉冲信号,作

6、为计数器2的时钟输入信号。同时计数器2的时钟输入信号又经过十分频得到周期为1s的矩形脉冲信号,作为计数器3的时钟输入信号。译码显示单元: 本部分由cc4511译码器和七段显示共阴极数码管构成。在其引脚当中a、b、c、d为bcd码输入端,a、b、c、d、e、f、g为译码输出端,输出“1”有效,用来驱动led数码管。4.4元件清单表2 元件清单表元件规格数量元件规格数量与非门74ls002电位器50k1定时器5551电容0.1 u1计数器74ls1612电容1.0 u1电阻47014集成块座14脚2电阻4.7k4集成块座16脚4电阻47k1集成块座8脚1译码器cc45112开关机械式25 调试5.

7、1 基本rs触发器的调试 按动开关,检查门1输出q非是否为1,门2输出q是否为0,复位后这两个状态能否保持,再按动开关,q是否由0变1,q非是否由1变0,否则检查卡观和与非门。5.2时钟发生器的测试 用示波器观察输出电压波形并测量其频率,调节,使输出波形频率为10-15hz,若无波形输出,检查555定时器。5.3 计数、译码、显示单元的测试 测量计数器功能和分频器功能,看输出频率是否为10倍关系,各段测量显示管的功能是否正常。5.4整体测试 先按按钮,此时电子秒表不工作,再按一下,则计数器清零后变开始计时,观察数码管显示计数情况是否正常。如不需要计时或暂停时,按一下开关,立即会出现暂停状态。5

8、.5 电子秒表准确度的测试利用电子钟或手表的计时对电子秒表进行校准,若时间不准,调节。6 电路测试及测试结果(测试方法、测试结果、性能分析) 7 设计总结 大二下学期我们开始学习模电和数电这两门专业课,这是我们第一次做课程设计,所以不免会感到一些吃力,动手实践和创新能力对于我们理工课院校的学生而言显得相当重要,通过这次的课程设计我发现了自己还存在诸多方面的不足,比如刚开始拿到原理图和元器件时,没有对原理图的正确性验证就盲目的焊接,导致不能达到预期的结果,经过多次调试才发现问题所在。 在调试过程中出现了译码器不工作的情况,也一直找不到症结所在,就找到指导老师寻求帮助,原来是由于我们刚拿到的电路图

9、上使用的是74ls161作为计数器,但是我们拿到的是74ls160,而且我们的计数器都没有接电源,但是接好电源后另我失望的事情又发生了,译码器仍然不工作!后来才发现我们实际使用的译码器是由cc4511构成的,而不是电路图上的74ls247,cc 4511的第五管脚都是需要接低电平,而不是像74ls247一样接高电平,但是由于我们测试的次数太多,有一个74ls160已经烧坏,当更换了新的芯片以后,我自己亲手制作的数字式电子秒表才开始正常工作,在兴奋之余仍有一系列的难题困扰着我,电位器已经调到最大值,但是由555定时器提供的脉冲频率仍然大于所需频率,由数码管现实的时间远大于正常时间,还有就是为什么

10、计数器74ls160会在电源接通后迅速发热,以至于把芯片烧坏,虽然我现在还没有找到答案,但是我会努力去学习,等我找到答案后希望自己能独立解决它。 理论知识终究不是实践能力,在实践面前一系列的问题会突发出现,但是没有扎实的理论知识,实践能力又无从存在,二者可谓缺一不可,所以在以后的学习工作中,学习和动手要两手抓,而且两手都要硬,不能留下软肋,让问题钻了空子。 本次课程设计很有收获,相信以后的类似这样的课程设计我会做得更好!8 参考文献1 康华光.电子技术基础.北京:高等教育出版社,2005,1461082 黄仁欣.电子技术实践与训练.北京:清华大学出版社,2004,1653 刘修文.实用电子电路

11、设计制作.北京:中国电力出版社,2005.4 蔡忠法.电子技术试验与课程设计.浙江:浙江大学出版社,2005,655 赵淑范,王宪伟.电子技术试验与课程设计.北京:清华大学出版社,200674ls161管脚排列图管脚图介绍:时钟cp和四个数据输入端p0p3清零/mr使能cep,cet置数pe数据输出端q0q3以及进位输出tc. (tc=q0q1q2q3cet)输 入输 出crcpldepetd3d2d1d0q3q2q1q00000010dcbadcba110q3q2q1q0110q3q2q1q01111状态码加1从74ls161功能表功能表中可以知道,当清零端cr=“0”,计数器输出q3、q2

12、、q1、q0立即为全“0”,这个时候为异步复位功能。当cr=“1”且ld=“0”时,在cp信号上升沿作用后,74ls161输出端q3、q2、q1、q0的状态分别与并行数据输入端d3,d2,d1,d0的状态一样,为同步置数功能。而只有当cr=ld=ep=et=“1”、cp脉冲上升沿作用后,计数器加1。74ls161还有一个进位输出端co,其逻辑关系是co= q0q1q2q3cet。合理应用计数器的清零功能和置数功能,一片74ls161可以组成16进制以下的任意进制分频器。此类译码器型号有74ls47(共阳),74ls48(共阴),cc4511(共阴)等,本实验系采用cc4511 bcd码锁存七段译码驱动器。驱动共阴极led数码管。图1.1.6为cc4511引脚排列 图1.1.6 cc4511引脚排列其中a、b、c、d bcd码输入端a、b、c、d、e、f、g 译码输出端,输出“1”有效,用来驱动共阴极

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论