基于单片机的数控电压源课程设计毕业设计_第1页
基于单片机的数控电压源课程设计毕业设计_第2页
基于单片机的数控电压源课程设计毕业设计_第3页
基于单片机的数控电压源课程设计毕业设计_第4页
基于单片机的数控电压源课程设计毕业设计_第5页
已阅读5页,还剩23页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、基于单片机的数控电压源课程设计一系统硬件设计结构框图本数控直流稳压电源的设计以一稳压电源为基础,以高性能单片机系统为控制核心,以稳压驱动放大电路、短路保护电路为外围的硬件系统,在检测与控制软件的支持下实现对电压输出的数字控制,通过对稳压电源输出的电压进行数据采样与给定数据比较,从而调整和控制稳压电源的工作状态及监测开关电路的输出电流大小。本数控直流稳压电源实现以下功能:键盘可以直接设定输出电压值;可快速调整电压;lcd显示电压值等。at89s51矩阵键盘lcd显示d/a转换dac0832a/d转换adc0809可调稳压源稳压电源+5v+15-15v键盘编码mm74c9221.1 8051简介我

2、们采用8051系列的at89s51作为cpu,at89s51是一种带4k字节flash可编程可擦除只读存储器(fperomflash programmable and erasable read only memory)的低电压,高性能cmos8位微处理器。该器件采用atmel高密度非易失存储器制造技术制造,与工业标准的mcs-51指令集和输出管脚相兼容。12 主要特性1)与mcs-51兼容 ;2)8位字长的cpu;3)可在线isp编程的4kb片内flash存储器,用于程序存储,可擦写1000次;4) 256b的片内数据存储器,其中高128字节地址被特殊功能寄存器sfr占用;5)可编程的32根

3、i/o口线(p0p3);6)2个可编程16位定时器;7)一个数据指针dptr;8)1个可编程的全双工串行通信口;9)具有“空闲”和“掉电”两种低功耗工作方式;10)可编程的3级程序锁定位;11)工作电源的电压为5(10.2)v;12)振荡器最高频率为24mhz;13)编程频率3 24 mhz,编程电流1ma,编程电压为5v。13芯片引脚排列与名称dip封装形式的at89s51的芯片引脚排列与名称如图1所示。vcc:供电电压。gnd:接地。p0口:p0口为一个8位,并行, 图1 at89s51的芯片引脚排列与名称漏极开路双向i/o口,作为输出时可驱动8个ttl负载。该口内无上拉电阻,在设计中作为

4、d/a,a/d及液晶显示器的数据口。p1口:p1口是一个内部提供上拉电阻的8位双向i/o口,p1口缓冲器能接收输出4个ttl门电流。p1口管脚写入1后,被内部上拉为高,可用作输入,该口在设计中低四位作为键盘输入口,高四位与rst作为在线编程下载口。p2口:p2口为一个内部上拉电阻的8位双向i/o口,p2口缓冲器可接收/输出4个ttl门电流,当p2口被写“1”时,其管脚被内部上拉电阻拉高,可作为输入。在作为输出时,p2口的管脚被外部拉低,将输出电流。该口在设计中作为d/a,a/d及液晶显示器的控制口。p3口:p3口管脚是带内部上拉电阻的8位双向i/o口,可接收输出4个ttl门电流。当p3口写入“

5、1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,p3口将输出电流,这是由于上拉的缘故。p3口也可作为at89s51的一些特殊功能口,如下表1所示:表1 各端口引脚与复用功能表端口引脚复用功能p3.0txd(串行输入口)p3.1rxd(串行输出口)p3.2/int0(外部中断0)p3.3/int1(外部中断1)p3.4t0(记时器0外部输入)p3.5t1(记时器1外部输入)p3.6/wr(外部数据存储器写选通)p3.7/rd(外部数据存储器读选通)该口在设计中使用其特殊功能作为d/a,a/d读写信号的控制口。和a/d的中断输入口。rst:该引脚为复位信号输入端,高电平

6、有效。在振荡器稳定工作情况下,该引脚被置成高电平并持续两个机器周期以上是系统复位。ale/prog:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在flash编程期间,此引脚用于输入编程脉冲。该引脚在设计中作为锁存器器和a/d的时钟信号。/psen:外部程序存储器的选通信号。/ea/vpp:/ea为访问芯片内部和芯片外部程序存储器的选择信号。在flash编程期间,此引脚也用于施加12v编程电源(vpp)。xtal1:芯片内振荡器放大器的输入及内部时钟工作电路的输入。xtal2:芯片内振荡器放大器的输出。 2 键盘控制器mm74c92221 简介键盘的作用是对单片机输入数据,

7、设计中要求能是电压进行“+”,“-”,及电压值的设定,所以采用键盘为44的薄膜矩阵键盘,用mm74c922芯片进行识别按键后送at89s51的并行口p1, p1.0p1.3作为键盘输入口。传统的44矩阵键盘识别处理程序的编写相对烦琐。所以采用mm74c922芯片来将44矩阵键盘的键值转换成4位bcd码以简化程序的编写。22 主要特性cmos工艺技术制造,工作电压3-15v,“二键锁定”功能,编码输出为三态输出,可直接与微处理器数据总线相连,内部振荡器能完成44矩阵键盘扫描,亦可用外部振荡器使键盘操作与其他处理同步,通过外接电容避免开关发生前、后沿弹跳所许的延时。有按键按下时数据有效线变高,同时

8、封锁其他键,片内锁存器将保持键盘矩阵的4位编盘,可由微处理器读出23 芯片引脚排列与名称 dip封装形式的mm74c922的芯片引脚排列与名称如图4所示。vcc:供电电压(+5+15);gnd:接地; y1y2:矩阵键盘行输入, 图4 mm74c922芯片引脚排列与名称其内部接有上拉电阻; x1x2:矩阵键盘列输入; out1out2:矩阵键盘列输出;osc:振荡器输入;da:按键有效输出,当有任意键按下是da输出高电平; /oe:输出有效端,低电平有效。3 d/a转换器dac0832331简介设计要求电压输出范围是0.0v9.9v,步进0.1v,共有100种状态,因此采用8位的d/a转换器就

9、能满足设计要求。因此采用常用的dac0832芯片。8位字长的d/a转换器dac0832具有256种状态,能满足设计要求。dac0832芯片是具有两个输入数据寄存器的8位dac,它能直接与at89s51单片机相连接,32 主要特性1)8位分辨率;2)电流型输出,稳定时间为1us;3)可双缓冲输入,单缓冲输入或直接数字输入;4)单一电源供电(+5+15v);5 低功耗(20mw;)33 芯片引脚排列与名称dip封装形式的dac0832的芯片引脚排列与名称如图51所示。vcc:电源电压,+5v。gnd:地线输入端。 图5 dac0832的芯片引脚排列与名称d0d7:8位数字量输入引脚。单片机由这8根

10、线传送给d/a转换数字量。d7为最高有效位,d0为最低有效位。vref:参考电压端。/cs:片选信号,当/cs为低电平时候,芯片被选中工作。ile:允许数字量输入线。高电平有效。/xref:传送控制输入线,低电平有效。/wr1,/wr2:写命令输入线。ffb:运算放大器反馈线。iout1,iout2:模拟电流输出线,iout1+iout2为一常数。二硬件电路设计1.a/d转换器adc080911 简介adc0809是美国国家半导体公司生产的8位adc,它是采用逐次逼近的方法完成a/d转换的。adc0809的内部结构框图如图 所示。adc0809由单一+5v电源供电,片内有带锁存功能的8路模拟多

11、路开关,可对8路05v的输入模拟电压信号分时进行转换,完成一次转换约需100us;输出具有ttl三台锁存缓冲器,可以直接接到单片机数据总线上。通过适当的外接电路,adc0809可对05v的双级性模拟信号进行转换。12主要特性1)8路8位ad转换器,即分辨率8位。 2)具有转换起停控制端。 3)转换时间为100s4)单5v电源供电 5)模拟输入电压范围05v,不需零点和满刻度校准。 6)工作温度范围为-4085摄氏度 7)低功耗,约15mw。13 芯片引脚排列与名称dip封装形式的adc0809的芯片引脚排列与名称如图6所示各引脚功能说明如下:vcc:电源电压,+5v。gnd:地线输入端。d0d

12、7:8位数字量输出引脚。a/d转换结果由这8根线传送给单片机。d7为最高有效位, 图6 0809引脚图排列与名称d0为最低有效位。in0in7:8路模拟量输入引脚。vref(+):参考电压正端。vref(-):参考电压负端。start:启动信号输入端,start为正脉冲,其上升沿清除adc0808的内部的各寄存器,其下降沿启动a/d开始转换。ale:地址锁存启动信号,在ale的上升沿,将a、b、c上的通道地址锁存到内部的地址锁存器。start和ale两信号用于启动a/d转换。eoc:转换完成信号,当eoc上升为高电平时,表明内部a/d转换已完成。oe:允许输出信号。当oe=1时,即为高电平,允

13、许输出锁存器输出数据。clk:时钟输入信号,0809的时钟频率范围在101200khz,典型值为640khz。a、b、c:3位地址输入线,经过译码后可选通in0in78个通道中的一个通道进行转换。a、b、c的输入与选通的通道的关系如表2所示:表2被选通的通道c b a被选通的通道c b ain00 0 0in41 0 0in10 0 1in51 0 1in20 1 0in61 1 0in30 1 1in71 1 12 显示器设计要求能显示当前电压值,因此可采用液晶显示或者数码管显示两种方法。考虑到数码管显示过于单调,因此采用采用液晶显示。液晶显示模块具有体积小、功耗低、显示内容丰富等特点,现在

14、点阵型液晶显示模块已经是单片机应用设计中常用的信息显示器件了。21 简介本设计中采用了1602c型点阵式液晶显示模块。lcd技术和半导体技术的结合使该显示模块具有高可靠性和低功耗的特点。1602c型点阵式液晶显示模块内部有字符产生存储器和数据存储器。该显示模块可直接与at89s51单片机相接,所有的显示功能由控制器用指令实现。由单一的+5v的电源供电,数据传送方式有4位和8位两种选择。内有显示92个ascii字符和92个特殊字符的字库。22 引脚排列与名称1602c引脚排列与名称如图7所示。gnd:电源地;vcc:电源正极,4.55.5v,通常使用5v电压;vo:lcd对比度调节端,电压调节范

15、围为05v;rs:写入数据或者指令选择端。要写入指令时,使rs为低电平;要写入数据时,使rs为高电平; r/w:读写控制端。r/w为高电平时,读取数据;r/w为低电平时,写入数据; e:lcd模块使能信号控制端。写数据时, 图7 1602c引脚排列与名称需要下降沿触发;。db0db7:8位数据总线,三态双向。如果i/o口资源紧张的话,该模块也可以只使用4位数据线db4db7接口传送数据。a: led背光正极。需要背光时,a串接一个限流电阻接vdd,k接地,实测该模块的背光电流为50ma左右;k: led背光地端31 mm74c922接口电路设计中mm74c922的输出口与p1口的低四位相接,d

16、a端通过反向器与p32相接。每当有按键按下时,da就产生高电平,同时向p1口低四位传送16进制的bcd码,分别对应16个按键。mm74c922与键盘及at89s51的接线图见图9图9 mm74c922接口电路32 dac0832接口电路dac0832 最具特色是输入为双缓冲结构,数字信号在进入d/a 转换前,需经过两个独立控制的8 位锁存器传送。其优点是d/a 转换的同时,dac 寄存器中保留现有的数据,而在输入寄存器中可送入新的数据。系统中多个d/a 转换器内容可用一公共的选通信号选通输出。设计中用2个电压控制字代表0.1v当电压控制字从0,2,4,到198时,可调稳压源输出0.0,0.1,

17、9.9。由于dac0832是电流输出型,输出的电流随输入的电压控制字线性变化。若要得到电压,还需要外接一片运放来实现电流到电压的转换。由于dac0832 输出级没有加集成运放,所以需外加lm324 相配适用。考虑到设计需要,采用了单缓冲双级性的接法,如图10所示:图10 dac0832接口电路其计算公式为:其中vref为参考电压,d为dac0832接收到的数据。5为dac0832基准电压。如果图中所示电阻rx,ry,rz的阻值选取适当,则输出电压范围在电压控制字从0,2,4,到198变换时根据上式计算可得输出电压为+4.9v-5v,正好满足后续电路的要求。其中p2.7为dac0832的片选控制

18、端。33 adc0809接口电路由于输出电压范围是0.0v9.9v超出了adc0809的测量范围,因此使用电位器将输出电压分压后送至adc0809的输入端。adc0809与at89s51的接口电路如图11所示图11 adc0809接口电路其中p2.6为0809的片选信号,与wr和rd分别通过或非门接到0809的start和oe上,eoc通过非门与at89s51的int0相接。由于0809需要时钟信号,因此可以从at89s51的ale端得到6分频的振荡信号,为了使6分频后的信号能满足0809的需求,我们采用的是4m的晶体振荡器。34 lcd1602c接口电路lcd1602c与at89s51的接口

19、电路如图12所示图12 lcd显示电路3.5 可调稳压源电路为了获得大的负载电流,可调稳压部分使用了最大输出电流为1a 的7805三端集成稳压块。7805原本是输出固定电压为5v的集成稳压块,但可以外接电路来改变输出电压值。可调稳压的电路见图13:设运放理想。这时,可认为运放输入电压很小。即: 图13 可调稳压电路 其中vin为d/a部分输出的双级性电压,5为7805的稳压值。由上式可见,vout与vin之间成线形关系,当vin变化时,输出电压改变。由于vin是dac0832输出的范围是+4.9v-5v的电压,因而vout的变化可以从0.0v9.9v。经实验证明:这种可调稳压输出具有良好的负载

20、特性,输出最大负载电流可达到1a。电压输出端接上500ma负载与未接负载(空载)之间输出电压仅相差0.04v以内。由于。3.6流稳压电路本设计共用到电源有三种:即15v,+5v 。可选用的有开关电源和稳压电源两种,由于开关电源的纹波系数比较大,且设计要求电压纹波不大于10mv 。因此采用常用的稳压电源来作为整个系统的电源。稳压电源由电源变压器、整流电路、滤波电路和稳压电路组成,如图14所示 图14 电源方框及波形图整流和滤波电路:整流作用是将交流电压u2变换成脉动电压u3。滤波电路一般由电容组成,其作用是脉动电压u3中的大部分纹波加以滤除,以得到较平滑的直流电压u4。再通过稳压电路得到平直的直

21、流电压u5。电源变压器采用了双17.2v的变压器,输入220v,50hz交流电,经全桥整流,滤波,稳压后得到15v和+5v三种输出,+5v部分供单片机及d/a,a/d,显示等部分使用,电流最大约400ma;+15v和-15v部分供运放使用,最大电流不超过50ma。电路如图15所示:图15 电源部分原理图图中继电器部分是一个开关电路及短路保护电路。当系统接到220v交流电后经变压器降压,整流桥整流后接到k1,此时由于u1(7815)没有输入,所以k1没有供电,整个后续系统处于关闭状态,当按下sw_on键时u1得到输入,产生+15v的输出,同时k1得电吸合,形成自锁状态,同时79l15也得电输出-

22、15v电压。松开sw_on键后由于k1处于自锁状态,整个系统处于开启状态。当按下sw_off键时,k1被短路,从而断开电源达到关机的目的。同样,在任一时刻如果产生短路,则k1也会断开达到短路保护的目的。+5v部分的供电电流在400ma左右,因此采用了最大输出电流为1a 的7805三端稳压集成电路,由于功耗大,负载重,加装了散热片。而+15v和-15v部分最大电流不超过50ma。在设计过程中发现中两片7805的均使用了散热片且温度偏高,因此加装了风扇,使用+15v电源,将78l15该为7815后可满足风扇需求。这样在保证性能的同时也降低了成本。三程序设计1主控程序图16为系统主控程序。开始系统初

23、始化d/a子程序键盘处理子程序a/d子程序有键按下?显示子程序是否图16 系统主控程序框图2 d/a子程序图17为d/a子程序框图。开始将显示值转换成对应的数字量数字量送d/a返回1图17 d/a子程序框图可以看出,d/a子程序的作用是将设定的数字量通过变换送给d/a。3 a/d子程序开始返回将输出电压转换成数字量与送d/a数字量相比较是否相等?将送d/a数字量减01h相等不等大于送d/a数字量?是否将送d/a数字量加01h1图18 a/d子程序框图由a/d子程序框图看出,修改精度为一个数字量,由于a/d和d/a的精度限制,修改量只能达到0.05v,但足已满足设计需要。4 键盘子程序图19为键

24、盘子程序框图。开始判断按键+-设 置其 它步进,步减子程序设 置子程序返回图19 键盘子程序框图框图41 步进步减子程序开始d/a数字量加02h为“+”?1否是d/a数字量加02h返回是否为9.9v否是否为0.0v否保持不变为“-”?是是是图20 步进,步减子程序框图 由步进,步减子程序框图可以看出,如果每次把d/a的数字量加01h,可以使步进量和步减量由0.1v变为0.05v。如果采用更高位的d/a转换器。可以使步进量和步减量进一步的减小,以满足更高的要求。42 设置子程序开始返回数字键?显示please setvoltage v判断按键显示please set voltage x v否判断

25、按键是显示please set voltage x. v是取消键?显示 set cancle voltage a.b v(ab为设置前电压值)是否判断按键“。”键?否取消键?是22否3数字键?否取消键?是否3判断按键显示please set voltage x.y v是4确认键?否取消键?否是4显示set complate voltage x.y v是图21 设置子程序框图由设置子程序可以看出,进入设置子程序后就屏蔽了“+”,“-”和设置键。然后逐步判断按键,执行相应程序。5 设计总结六附件a、程 序 实 现单片机系统初始化和存储器分配程序$nomod51;-; this file is pa

26、rt of the c51 compiler package /这个文件是c51 链接的数据;-; startup.a51: this code is executed after processor reset. 这个代码在程序重启之后执行; to translate this file use a51 with the following invocation:; a51 startup.a51; to link the modified startup.obj file to your application use the following; bl51 invocation:; bl

27、51 , startup.obj ;-; user-defined power-on initialization of memory; with the following equ statements the initialization of memory; at processor reset can be defined:; ; the absolute start-address of idata memory is always 0idatalen equ 80h ; the length of idata memory in bytes. idata存储的字节长度;xdatas

28、tart equ 0h ; the absolute start-address of xdata memory xdata 存储的实际起始地址xdatalen equ 0h ; the length of xdata memory in bytes.;pdatastart equ 0h ; the absolute start-address of pdata memorypdatalen equ 0h ; the length of pdata memory in bytes.; notes: the idata space overlaps physically the data and

29、 bit areas of the; 8051 cpu. at minimum the memory space occupied from the c51 ; run-time routines must be set to zero. ;-; reentrant stack initilization; the following equ statements define the stack pointer for reentrant; functions and initialized it:; stack space for reentrant functions in the sm

30、all model.ibpstack equ 0 ; set to 1 if small reentrant is used.ibpstacktop equ 0ffh+1 ; set top of stack to highest location+1.; stack space for reentrant functions in the large model. xbpstack equ 0 ; set to 1 if large reentrant is used.xbpstacktop equ 0ffffh+1; set top of stack to highest location

31、+1.; stack space for reentrant functions in the compact model. pbpstack equ 0 ; set to 1 if compact reentrant is used.pbpstacktop equ 0ffffh+1; set top of stack to highest location+1.;-; page definition for using the compact model with 64 kbyte xdata ram; the following equ statements define the xdat

32、a page used for pdata; variables. the equ ppage must conform with the ppage control used; in the linker invocation.;ppageenable equ 0 ; set to 1 if pdata object are used.;ppage equ 0 ; define ppage number. ;ppage_sfr data 0a0h ; sfr that supplies uppermost address byte; (most 8051 variants use p2 as

33、 uppermost address byte);-; standard sfr symbols acc data 0e0hb data 0f0hsp data 81hdpl data 82hdph data 83h name c_startupc_c51startup segment codestack segment idata rseg stack ds 1 extrn code (?c_start) public c_startup cseg at 0?c_startup: ljmp startup1 rseg c_c51startupstartup1:if idatalen 0 mo

34、v r0,#idatalen - 1 clr aidataloop: mov r0,a djnz r0,idataloopendifif xdatalen 0 mov dptr,#xdatastart mov r7,#low (xdatalen) if (low (xdatalen) 0 mov r6,#(high (xdatalen) +1 else mov r6,#high (xdatalen) endif clr axdataloop: movx dptr,a inc dptr djnz r7,xdataloop djnz r6,xdataloopendifif ppageenable

35、0 mov ppage_sfr,#ppageendifif pdatalen 0 mov r0,#low (pdatastart) mov r7,#low (pdatalen) clr apdataloop: movx r0,a inc r0 djnz r7,pdataloopendifif ibpstack 0extrn data (?c_ibp) mov c_ibp,#low ibpstacktopendifif xbpstack 0extrn data (?c_xbp) mov c_xbp,#high xbpstacktop mov c_xbp+1,#low xbpstacktopend

36、ifif pbpstack 0extrn data (c_pbp) mov c_pbp,#low pbpstacktopendif mov sp,#stack-1; this code is required if you use l51_bank.a51 with banking mode 4; extrn code (b_switch0); call b_switch0 ; init bank mechanism to code bank 0 ljmp c_start end键盘扫描程序#include#define uchar unsigned char#define uint unsi

37、gned intsbit key1=p10;sbit key2=p11;void delay(uint z); uchar keyscan() / 键盘扫描程序 uchar temp,num; num=17; p1=0xfe; / p1.0口置0 temp=p1; temp=temp&0xf0; while(temp!=0xf0) delay(20); temp=p1; temp=temp&0xf0; if(temp!=0xf0) /delay(10); /延迟去抖 p1=p1&0xf0; while(p1!=0xf0); switch(temp) case 0xe0:num=7;break;

38、 /0xf0和0xe0的交处,即p1.0与p1.4的交处 case 0xd0:num=8;break; / p1.0与p1.5的交处, case 0xb0:num=9;break; case 0x70:num=15;break; default:break; else break; p1=0xfd; temp=p1; temp=temp&0xf0; while(temp!=0xf0) delay(20); temp=p1; temp=temp&0xf0; if(temp!=0xf0) /delay(10);p1=p1&0xf0;while(p1!=0xf0); switch(temp) cas

39、e 0xe0:num=4;break; case 0xd0:num=5;break; case 0xb0:num=6;break; case 0x70:num=14;break; default:break; else break; p1=0xfb; temp=p1; temp=temp&0xf0; while(temp!=0xf0) delay(20); temp=p1; temp=temp&0xf0; if(temp!=0xf0) /delay(10); p1=p1&0xf0;while(p1!=0xf0); switch(temp) case 0xe0:num=1;break; case

40、 0xd0:num=2;break; case 0xb0:num=3;break; case 0x70:num=13;break; default:break; else break; p1=0xf7; temp=p1; temp=temp&0xf0; while(temp!=0xf0) delay(20); temp=p1; temp=temp&0xf0; if(temp!=0xf0) /delay(10); p1=p1&0xf0;while(p1!=0xf0); switch(temp) case 0xe0:num=10;break; case 0xd0:num=0;break; case

41、 0xb0:num=11;break; case 0x70:num=12;break; default:break; else break; return num;主程序 #include#include#include#include#includekeyscan.h#define uchar unsigned char#define uint unsigned int#define dac0832 xbyte0x7fff /p2.7作片选,启动da转换#define int0 xbyte0x7ff8 /结束ad转换,锁存通道0,读出ad值uchar code table=0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论