FPGA实验一-计数器_第1页
FPGA实验一-计数器_第2页
FPGA实验一-计数器_第3页
FPGA实验一-计数器_第4页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

精品文档实验题目 计数器一、 实验内容1、 设计一个8位的计数器;2、 计数器包含有时钟信号clk、置数端load及置数输入端d_in、始能端en、清零端clr以及达到最高位100时的初始计数端m;3、 进一步熟悉QuartusII软件的功能及环境。二、 详细设计设计步骤如下:1、 设计8位的计数器,计数器包含有时钟信号clk、置数端load及置数输入端d_in、始能端en、清零端clr以及达到最高位100时的初始计数端m;2、编译,查找错误,进入仿真环境;三、 仿真结果(1) 刚开始有置数load信号时得到的具体仿真图如下:由图可以分析得出计数器包含有时钟信号clk、置数端load及置数输入端d_in、始能端en、清零端clr以及达到最高位100时的初始计数端m;置数时的输入端d_in,当load端位1时,输出端out输出为5,可见置数端起到作用。使用modelsim仿真图如下:(2) 当计数计到100时要求它从10开始继续计数,具体仿真图形如下: 可见编写的计数器在正常计数的情况下是从5计到100的循环计数器。当en为1,load端为0,clr为1时,正常计数。其中en为始能端。使用modelsim仿真如下: 四、 调试情况,设计技巧及体会通过本次实验我更加熟悉了利用verilo语言来描述电路,同时熟悉了利用软件仿真电路的逻辑功能并进行验证和分析。使我对QuartusII有了初步的了解,同时让我了解到硬件可以用软件来完成,此软件的模拟仿真给我们学习数字电路有很大的帮助,形象的表达了信号的输出。通过本次实验,除modelsim软件仿真电路以外,我又学会了QuartusII仿真软件的使用,觉得两个软件各自有各自的优点。五、 源程序清单(1)verilog语言编辑的8位计数器:module counter(m,d_in,clk,clr,en,load,out);input clk,clr,en,load;input 7:0 d_in,m;output 7:0 out ;reg 7:0 out;always (posedge clk) beginif(en) begin if (clr) out=8d0; else if (load) out=d_in; else if(out = 8d100) out=m; else out=out+1;endelseout=8bZZZZZZZZ;endendmodule(2)激励如下: module stimulate; reg CLK,CLR,EN,LOAD; reg 7:0 D_IN,M; wire 7:0 OUT ; counter tt (M,D_IN,CLK,CLR,EN,LOAD,OUT); initial CLK =0; always #10 CLK=CLK; initial begin EN=1; CLR =0; CLR = 1; D_IN= 5; LOAD= 0; M = 10; #20 CLR =1; EN=0; #20 EN=1;

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论