已阅读5页,还剩6页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1 数字逻辑设计课程考前复习数字逻辑设计课程考前复习 一,逻辑函数化简 (1) F=ABCD+ABD+BCD+ABCD+BC = AB+BC+BD (2) F=AC+ABC+BC +ABC (2) F=AC+ABC+BC +ABC = C= C (3) F(A,B,C,D) = m(3,4,5,6,9,10,12,13,14,15) (4) F(A,B,C,D) = m(0,13,14,15) + (1,2,3,9,10,11) 1 1 1 1 AB CD 00 01 11 10 00 01 11 10 1 1 1 1 1 1 1 1 AB CD 00 01 11 10 00 01 11 10 AB CD 00 01 11 10 00 01 11 10 AB CD 00 01 11 10 00 01 11 10 111 11 111 11 AB CD 00 01 11 10 00 01 11 10111 11 111 11 111 11 111 11 AB CD 00 01 11 10 00 01 11 10 AB CD 00 01 11 10 00 01 11 10 AB CD 00 01 11 10 00 01 11 10 PDF 文件使用 “pdfFactory“ 试用版本创建 2 二,已知输入信号 A,B,C,D 的波形如下图所示,试通过真值表及卡诺图分析、写出逻辑函 数 F 的表达式。 三,三, 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表 示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出 控制电路真值表,并选出合适的集成电路来实现。 解:设三台设备分别为 A、B、C: “1”表示有故障,“0”表示无故障;红、黄、绿灯分别 为 Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下: A B C D F 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 0 0 1 1 1 1 1 0 0 0 1 11 111 111 AB CD 00 01 11 10 00 01 11 10 1 11 111 111 AB CD 00 01 11 10 00 01 11 10 1 11 111 111 1 11 111 111 AB CD 00 01 11 10 00 01 11 10 F = AB + BD + BC D + ABC (或ACD)F = AB + BD + BC D + ABC (或ACD) PDF 文件使用 “pdfFactory“ 试用版本创建 3 四,用下图给定的 74LS151 八选一数据选择器实现函数: A B C Y1 Y2 Y3 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 0 0 1 1 0 0 1 0 0 0 1 0 1 0 0 0 1 0 0 1 0 1 1 0 Y1= A + B + C Y2= BC + A(B + C) Y3= A B C = A+B+C Y1= A + B + C Y2= BC + A(B + C) Y3= A B C = A+B+C D0 D1 D2 D3 D4 D5 D6 D7 G CB A Y W D0 D1 D2 D3 D4 D5 D6 D7 G CB A Y W 74LS151 F(A,B,C,D)=(0,3,5,8,11,14) +(1,6,12,13) 解:选 BCD 为地址,则 D0=D3=D5=D6=1, D1=D2=D4=D7=0 D0 D1 D2 D3 D4 D5 D6 D7 G CB A Y F 1 B C D W D0 D1 D2 D3 D4 D5 D6 D7 G CB A Y F 1 B C D W PDF 文件使用 “pdfFactory“ 试用版本创建 4 五,试通过阅读该 VHDL 语言,写出每句语言的所对应的功能,并列出此 VHDL 逻辑功能 表(真值表)及给出输入输出口框架结构。 优先编码器功能表 I0 I1 I2 I3 A1 A0 GS L L L L L L H X X X H H H L X X H L H L L X H L L L H L H L L L L L L library IEEE; use IEEE.std_logic_1164.all; entity encode4_2 is port ( I: in std_logic_vector(3 downto 0); GS: in std_logic; A: out std_logic_vector (1 downto 0); end encode4_2; architecture arch of encode4_2 is begin PROCESS (I) BEGIN IF I (3) =1 THEN A Y Y Y Y Y Y Y Y Y = 0; end case; end process MUX; end MUX_PRO; 答:此 VHDL 代码所实现实现的逻辑功能 为 8 选一,其中 I 为输入信号 8 位,S 为选 择信号 3 位,E_N 为使能信号(低电平有 效) ,Y 为输出信号;S 从 000- 111 分别对 应输出 Y(0)- Y(7)有效。 输入输出口框架结构为: PDF 文件使用 “pdfFactory“ 试用版本创建 6 library IEEE; use IEEE.std_logic_1164.all; entity Test is port ( CP: in STD_LOGIC; Rd: in STD_LOGIC; Sd: in STD_LOGIC; Q: out STD_LOGIC_VECTOR (2 downto 0); end Test; architecture arch of Test is signal Qn: std_logic_vector(2 downto 0); begin counter: PROCESS (CP,Rd,Sd) BEGIN IF Rd=1 THEN Qn=“000“; ELSIF Sd=1 THEN Qn=“101“; ELSIF CPEVENT AND CP=0THEN IF Qn=“101“ THEN Qn=“000“; ELSE Qn=Qn+1; END IF; END IF; END PROCESS counter; Q=Qn; end arch; 本题是实现 6 进制加法计数器, 并带 有异步复位和置最大值功能。 在时钟 下降沿触发计数。 CP Rd Sd Q3:0 6 进制加法计数器 PDF 文件使用 “pdfFactory“ 试用版本创建 7 六,试用 38 线译码器 74138 和门电路组成一位二进制数全加器。输入有:被加数 Ai、加 数 Bi、低位来的进位数 Ci- 1,输出有:和 Si、进位位 Ci,列出真值表,函数表达式 并画出逻辑电路(图中 A2为最高位) 。 七,分析下图所示同步计数电路,作出状态转移表和状态图,求电路的状态方程。并说明该 电路为几进制的计数器,电路能否自启动。 解 作出状态转移表和状态图后求得状态方程为: CP J K Q1 J K Q2Q3 J K CP J K Q1 J K Q1 J K Q2 J K Q2Q3 J K J K Q3n Q2n Q1n Q3n+1 Q2n+1 Q1n+1 0 0 0 0 0 1 0 1 1 1 1 1 1 1 0 1 0 0 1 0 1 0 1 0 0 0 1 0 1 1 1 1 1 1 1 0 1 0 0 0 0 1 0 1 0 1 0 0 Q1n+1 = Q2n Q1n + Q3n Q1n Q2n+1 = Q1n Q3n+1 = Q2n Q1n+1 = Q2n Q1n + Q3n Q1n Q2n+1 = Q1n Q3n+1 = Q2n 此电路为模为 5 的计数器,能自启动, 详见状态图说明。 “1” C B A G1 G2A G2B 74LS 138 Y1 Y0 Y2 Y3 Ai Y5 Y6 Y7 Bi Ci- 1 Y4 Si 同理由 3,5,6,7 得到 Ci- 1 & A0 A1 A2 PDF 文件使用 “pdfFactory“ 试用版本创建 8 八,下图所示的状态图为某一序列检验器,试写出用 JK 触发器构成此电路的状态方程和输 出方程,并分析该电路可实现何种序列检测(功能) 。 解:列出状态表如下: 电路的状态方程和输出方程为: 功能说明功能说明: 凡在输入序列中出现两个或 两个以上“1” 之后再出现一 个 “0” ,输出就为“1” ; 否则,输出为“0” ,实际为 110 序列检验器。 001 100 111 010 011 101 110 000001 100 111 010 011 101 110 000 00 10 11 01 0/0 X/Z 0/0 1/0 1/0 1/0 0/1 0/0 1/0 Q2n Q1n 00 10 11 01 0/0 X/Z 0/0 1/0 1/0 1/0 0/1 0/0 1/0 Q2n Q1n Q2n+1 Q1n+1 / Z Q2n Q1n X =0 X =1 0 0 0 1 1 1 1 0 00 / 0 00 / 0 10 / 1 00 / 0 01 / 0 11 / 0 11 / 0 01 / 0 可省并用 00 代替 10 可变无关项 Q1n+1 =XQ1n + XQ1n = X Q2n+1 = XQ1nQ2n + XQ2n Z = XQ2n Q1n+1 =XQ1n + XQ1n = X Q2n+1 = XQ1nQ2n + XQ2n Z = XQ2n PDF 文件使用 “pdfFactory“ 试用版本创建 6 9 九,试用后边沿 J、K 触发器设计一个实现如下状态转换图同步时序电路,要求标明有效序 列并写出各触发器的状态方程、驱动方程(电路图可以不画) 。 0 1 00 100 111 01 101 101 11 011 011 10 110 110 十,试用 D 触发器设计一个同步脉冲序列检测器,要求输出脉冲 Z 与输入序列脉冲 X 间满 足如下关系: X: 110110101011 Z: 000100100010 并要求绘出符合此逻辑关系的状态图、写出电路的特性方程、驱动方程和输出方程,说 明该电路为何种脉冲序列检测器。 (电路图可以不画) 。 S2 Q=“010“ S1 Q=“001“ S5 Q=“101“ S7 Q=“111“ S3 Q=“011“ S6 Q=“110“ S4 Q=“100“ S0 Q=“000“ 有效序列有效序列 答 1:卡诺图 nn QQ 12 n Q 0 nnn nnn n nnnnnn nnnnnn nnnn QQKQJ QKQQJ QKJ QQQQQQ QQQQQQ QQQQ 21010 21021 122 21001 1 0 20112 1 1 122 1 2 , , , 1 )( )( = =+= = += += += + + + 答 2:状态方程、驱动方程 说明有效序列 2 分,卡诺图 6 分, 状态方程、驱动方程 6 分。 PDF 文件使用 “pdfFactory“ 试用版本创建 10 解:由题意为 101 序列检验器,得到如下状态图及状态表: X n Q1 n Q0 1 1 +n Q 1 0 +n Q Z 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 0 0 0 0 1 1 X X 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 0 1 1 1 1 X X 0 n nn nn XQZ DQXQ DQXQ 1 01 1 0 10 1 1 = = = + + (图略) 能自启动。 十一,电路及输入波形如图所示,根据 CP 和 A、B 的输入波形画出触发器 Q 端及输出 Z 的 波形。设触发器的初态为 0。 01 10 00 0/0 1/0 1/0 0/0 0/0 1/1 11 0/0 1/1 状态图 5 分, 状态表或卡诺图 4 分, 表达式 3 分, 自启动判别 2 分。 CP Q B A Z =1 & D C Q Q Z A B CP PDF 文件使用 “pdfFactory“ 试用版本创建 11 十二,分析图示电路,画出其状态转换图,并说明其是几进制计数器。 1 ABCD R
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2024-2030年中国电池级氟化锂行业现状发展及投资规划分析报告
- 2024-2030年中国电子连接线组行业发展模式及投资策略分析报告
- 2024-2030年中国用电信息采集系统行业商业模式分析及投资规划研究报告
- 2024-2030年中国生化黄腐酸行业供需趋势及发展风险研究报告
- 2024-2030年中国环氧乙烷深加工行业供需状况及投资可行性分析报告权威版
- 2024-2030年中国牛冻精行业发展形势及投资价值研究报告版
- 2024-2030年中国煤炭采购行业发展态势及投资规模分析报告版
- 2024-2030年中国烧碱市场经营效益及未来供应情况预测报告
- 2024-2030年中国烘干设备行业供需趋势及投资战略研究报告
- 2024-2030年中国灰沙砖轻质沙砖产业未来发展趋势及投资策略分析报告
- 住院医师规范化培训临床小讲课指南(2021年版)
- 执行实务一百问
- 成人癌性疼痛护理-中华护理学会团体标准2019
- 《思想道德与法治》2021版第四章
- 找出划线部分读音不同的单词
- 产品销售培训心得
- 二年级下册道德与法治教案-3.2节约粮食北师大版
- 急诊剖宫产分级
- 曾国藩为人识人及用人
- 双喜公司双喜世纪婚礼策划活动
- 色貌与色貌模型
评论
0/150
提交评论