毕业设计(论文)-OV6620数字摄像头IP核的设计与实现.doc_第1页
毕业设计(论文)-OV6620数字摄像头IP核的设计与实现.doc_第2页
毕业设计(论文)-OV6620数字摄像头IP核的设计与实现.doc_第3页
毕业设计(论文)-OV6620数字摄像头IP核的设计与实现.doc_第4页
毕业设计(论文)-OV6620数字摄像头IP核的设计与实现.doc_第5页
已阅读5页,还剩24页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要摘要 sopc 是 altera 公司提出来的一种灵活高效的soc 解决方案,它将处理器、存 储器、i/o 口、lvds 等系统设计需要的功能模块集成到一个可编程器件上,构成 一个可编程的片上系统。 nios ii 是建立在fpga 上的嵌入式软核处理器,有很强的灵活性。而作为体 现 nios ii 灵活性的两个最主要方面的自定义外设和自定义指令,则越来越受到人 们的关注。 本文研究以 sopc builder 为平台,设计基于 avalon 总线的从外设ov6620 数字 摄像头的 ip 软核控制器。论文首先介绍了sopc 技术,其次介绍了 ov6620 的特 性,最后重点论述了如何设计从外设的底层硬件描述及软件驱动程序。该设计在 实验箱上完成并得到了正确的结果。 关关键键词词 sopc; nios ii; 自定义外设; ov6620 数字摄像头 ov6620 数字摄像头 ip 核的设计与实现abstract abstract sopc (system on programmable chip) is a flexible, efficient solution which is developed by altera corporation. it could build a soc design by integrating processor, memory, i/o, lvds and other peripherals into one chip, on which forms a programmable system. the nios ii processor is a configurable soft-core processor which is based on the fpga. as the most important respects of this technology, the custom peripheral and instructions have been paid more and more attention. the design of a custom component, ov6620 controller of nios ii ip soft-core, is discussed based on the avalon bus architecture, which uses sopc builder as a platform. firstly the technology of sopc was introduced. next the characteristic of ov6620 digital camera was talked about .finally, how to design a slave avalon interface from hardware to software is emphasized. this design has been completed in the experimental box and got the right result on test. key word sopc; nios ii; custom peripheral; ov6620 digital camera ov6620 数字摄像头 ip 核的设计与实现目录 目录目录 摘要摘要- 2 - abstract- 3 - 1. 引言引言.- 5 - 2. sopc 技术简介技术简介- 6 - 2.1 sopc 技术.- 6 - 2.2 nios ii 软核处理器- 8 - 2.2.1 nios ii 软核处理器简介- 8 - 2.2.2 nios ii 嵌入式的软硬件开发流程- 8 - 2.3 avalon 总线.- 10 - 2.3.1 avalon 总线简介- 10 - 2.3.2 本设计中使用的接口信号 .- 10 - 2.4 定制用户外设简介 .- 11 - 2.4.1 元件的组成部分 .- 11 - 2.4.2 元件的组成模块 .- 11 - 2.4.3 元件的定制步骤 .- 11 - 3. ov6620 数字摄像头介绍数字摄像头介绍.- 13 - 3.1 ov6620 简介 .- 13 - 3.2 ov6620 引脚介绍 .- 13 - 3.3 图像的采集过程 .- 14 - 3.4 摄像头的配置状态 .- 15 - 3.5 其他状态 .- 15 - 4. ov6620 控制器外设的设计过程控制器外设的设计过程.- 16 - 4.1 硬件功能的规划 .- 16 - 4.2 使用 vhdl 来描述硬件逻辑 - 16 - 4.3 自定义元件的封装 .- 17 - 4.4 编写测试元件的 c 文件.- 20 - 4.5 验证结果 .- 22 - 5. 总结总结.- 23 - 附录附录 1.- 24 - 附录附录 2.- 28 - 参考文献参考文献- 29 - ov6620 数字摄像头 ip 核的设计与实现引言 1. 引言引言 将 ip 硬核直接植入fpga 的解决方案存在很大的不足,例如设计者无法根据 实际需要改变处理器的总线规模、接口方式、指令形式等结构,而如果利用软核处 理器,就能有效的弥补以上的不足。 altera 公司提供的nios ii 软核处理器是用户可随意配置和构建的32 位嵌入式 处理器 ip 核,采用avalon 总线结构通信接口。在把nios ii 植入 fpga 前,用户可 以根据设计要求,利用quartus ii 和 sopc builder 对 nios ii 及其外设进行构建,使 该系统在硬件结构、功能特点、资源占用等方面满足用户系统设计的要求。 在通常情况下,用户可以从供应商提供的ip 库中选择组件来组装系统。当所 提供的组件不能满足用户的要求时,由于nios ii 软核处理器具有自定义的功能, 用户可以通过自定义逻辑来实现所要求的功能,体现了强大的 设计灵活性。 本文在介绍了sopc 相关知识和ov6620 的主要特性的基础上主要论述了 ov6620 数字摄像头控制器自定义外设的设计方法,所做的工作有: 1.使用 vhdl 编写硬件逻辑,描述怎样对ov6620 数字摄像头进行控制驱动, 其中主要做的是对sccb 协议的描述; 2.使用 sopc builder 元件编辑器来对编写的硬件逻辑元件进行封装,生成一 个自定义元件; 3.建立一个完整的sopc builder system,包含一个ov6620 数字摄像头控制 器元件及其他必要的测试外设。 4.编写验证控制器件的 c 程序,通过 uart 发送图像数据到上位机,并通 过 sccb 对摄像头进行配置,观察数据是否符合配置要求,验证结果的正 确性。 通过以上过程,完成一个可以直接控制 ov6620 数字摄像头的 ip 核,并给出 了一个简单的通过 nios ii 处理器控制该核的程序,以方便其它相关项目的使用。 ov6620 数字摄像头 ip 核的设计与实现sopc 技术简介 2. sopc 技术简介技术简介 2.1 sopc 技术技术 在集成电路(ic)发展初期,电路设计主要是器件的物理版图设计。后来出 现了集成电路单元库,使得集成电路设计从器件级进入逻辑级,极大地推动了ic 产业的发展。随着ic 设计与工艺水平的提高,原先由许多ic 组成的电子系统可以 集成到一个芯片上,构成soc(system on a chip,片上系统)。soc 的设计思想有 别于普通ic:soc 从整个系统的角度出发,把处理机制、模型算法、芯片结构直 至器件的设计紧密结合起来,在单个(或少数几个)芯片上实现整个系统的功能。 soc 的设计以ip 核为基础,以分层次的硬件描述语言为系统功能和结构的主要描 述手段,并需要借助eda 工具完成。研究表明,与ic 组成的系统相比,由于 soc 设计能够综合考虑整个系统的各种情况,因而可以在同样的工艺条件下,实 现更高性能的系统指标。 sopc(system on programmable chip,可编程的片上系统),是 altera 公司提 出来的一种灵活、高效的soc 解决方案,是一种新的软硬件协同设计的系统设计 技术。它将处理器、存储器、i/o 口等系统设计需要的功能模块集成到一个可编程 器件上,构成一个可编程的片上系统。 soc 的实现方法目前可以采用asic(专用集成电路)技术和 pld(programmable logic device,可编程逻辑器件)以及基于hardcopy 的 soc 实现。 asic 是一种为专门目的而设计的集成电路,是指应特定用户要求和特定电子 系统的需要而设计、制造的集成电路。数字集成电路的通用性和大批量生产,使电 子产品成本大幅度下降,推进了计算机通信和电子产品的普及,但同时也产生了 通用与专用的矛盾,以及系统设计与电路制作脱节的问题。 asic 在批量生产时与 通用集成电路相比具有体积更小、功耗更低、可靠性提高、性能提高、保密性增 强、成本降低等优点。 同时,集成电路规模越大,组建系统时就越难以针对特殊要求加以改变。为 解决这些问题,就出现了以用户参加设计为特征的专用集成电路,它能实现整机 系统的优化设计,性能优越,保密性强。 asic 分为全定制和半定制。全定制设计需要设计者完成所有电路的设计,因 此需要大量人力物力,灵活性好但开发效率低下。如果设计较为理想,全定制能 够比半定制的asic 芯片运行速度更快。半定制使用库里的标准逻辑单元,设计时 可以从标准逻辑单元库中选择ssi(门电路)、msi(如加法器、比较器等)、数据通路 (如 alu、存储器、总线等)、存储器甚至系统级模块(如乘法器、微控制器等)和 ip ov6620 数字摄像头 ip 核的设计与实现sopc 技术简介 核,这些逻辑单元已经布局完毕,而且设计得较为可靠,设计者可以较方便地完 成 系统设计。 现代 asic 常包含整个32-bit 处理器,类似 rom、ram、eeprom、flash 的 存储单元和其他模块。 这样的 asic 常被称为soc。 pld 实际上是一种电路的半成品芯片,这种芯片是按一定排列方式集成了大 量的门和触发器等基本逻辑元件,出厂时不具备特定的逻辑功能,需要用户 编程后 才能实现逻辑功能,编程的含义就是利用专用的开发工具对其进行再加工,在片 内进行电路连接,使之完成某个逻辑电路或系统的功能,成为一个可再设计电子 系统中使用的专用芯片。 目前使用较广的pld 有 cpld 和 fpga 两大类,cpld 专指那些集成规模大 于 1000 门以上的可编程逻辑器件。fpga 是现场可编程门阵列,它是从门阵列中 发展来的。由于fpga 的规模比cpld 大,因此sopc 一般使用fpga 来实现 soc 的功能。现今,可将sopc 视为是基于fpga 解决方案的soc。 fpga 在结构上已经实现了复杂 soc 系统所需要的主要功能,如fpga 中可 能含有 cpu、存储器、dsp、pll 以及兼容于各种标准的接口。 hardcopy 就是利用原有的fpga 开发工具,将成功实现于fpga 器件上的 sopc 系统通过特定的技术直接向asic 转化,从而解决传统asic 设计中普遍存在 的问题。它是一种全新的soc 级 asic 设计解决方案,既是将专用的硅片设计和 fpga 至 hardcopy 自动迁移过程结合在一起的技术。首先利用quartus ii 将系统模 型成功实现于hardcopy fpga 上,然后帮助设计者把可编程解决方案无缝的迁移 到低成本的asic 上。这样,hardcopy 器件就把大容量fpga 的灵活性和asic 的 市场优势结合起来,实现对于有大批量要求并对成本敏感的电子产品上,从而避 开了直接设计asic 的困难。 三种实现方法的比较见表2-1。 表 2-1 三种 soc 实现方法对比 指标基于 asic 的 soc 基于 fpga 的 soc(sopc) 基于 hardcopy 的 soc 单片成本低较高较低 开发周期长(20 周)短(sccb_add_selected sccb_dat_selected state_selectedsccb_en_up_selectedpclock_upo_selectedhrefo_selectedvsynco_selecteddatay_selectedfoddo_selected null; end case; end process; process(clock_up,reset_n_up) begin if reset_n_up=0 then sccb_add0); elsif(clock_upevent and clock_up=1)then if(write and chipselect and sccb_add_selected)=1)then sccb_add(7 downto 0)0); elsif(clock_upevent and clock_up=1)then if(write and chipselect and sccb_dat_selected)=1)then sccb_dat(7 downto 0)0); elsif(clock_upevent and clock_up=1)then if(write and chipselect and state_selected)=1)then state(1 downto 0)readdatareaddatareaddatareaddatareaddatareaddata0); end case; else readdata0); end if; end process; process(state,pclock_up) begin if state = “10“ then-低耗状态 pwdn 0) while(i-); int main() control-iic_add=0x13; /stop auto adjust control-iic_dat=0x00; control-iic_en=0x01; delay(5000); control-iic_en=0x00; /br

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论