汽车尾灯设计论文(带EDA仿真) .doc_第1页
汽车尾灯设计论文(带EDA仿真) .doc_第2页
汽车尾灯设计论文(带EDA仿真) .doc_第3页
汽车尾灯设计论文(带EDA仿真) .doc_第4页
汽车尾灯设计论文(带EDA仿真) .doc_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1.题目分析1.1设计要求假设汽车尾灯两侧各有3盏指示灯,设计其控制功能如下:1.汽车正常行驶时指示灯都不亮;2.汽车右转弯时,右侧一盏指示灯亮;3.汽车左转弯时,左侧一盏指示灯亮;4.汽车刹车时,左右两侧其中一盏指示灯亮;5.汽车夜间行驶时,左右两侧的一盏指示灯同时亮,以供照明。1.2设计思路根据系统设计要求,系统采用自顶向下的设计方法,顶层设计采用原理图设计的方式,如下所示:1.3功能要求正常行驶时所有的灯都不亮,当汽车右转弯时,右侧灯rd1闪烁;左转弯,左侧灯ld1闪烁;刹车时,左侧灯ld2和右侧灯rd2同时亮;夜间行驶时,右侧rd3和左侧ld3同时亮;并不可能出现rd1 和ld1同时亮的情况。2.选择方案2.1方案一采用传统的自底向上的设计方法。该根据系统对硬件的要求,写出详细的技术规格书,并画出系统的控制流程图。根据技术规格书和控制流程,对系统的功能进行细化,合理的划分功能模块,画出系统的功能框图。对各个功能模块进行细化和电路设计。各个功能模块电路设计、调试完成后,将各个功能模块的硬件电路连接起来在进行系统的调试,最后完成整个系统的硬件测试。2.2方案二应用vhdl进行自顶向下的设计,是采用可完全独立于目标器件芯片物理结构的硬件描述语言。就是使用vhdl模型在所有综合级别上对硬件设计进行说明、建模和仿真测试。其设计流程如下:(1)设计说明书(6)逻辑综合(2)建立vhdl行为模型(7)测试向量生成(3)vhdl行为仿真(8)功能仿真(4)vhdl-rtl级建模(5)前端功能仿真设计完成(11)硬件测试(9)结构综合(10)门级时序仿真由于vhdl设计的可移植性、eda平台的通用性以及与具体硬件结构的无关性,使得前期的设计可以容易的应用于新的设计项目,而且项目设计的周期可以显著缩短。另外本方案还具有简单易行的特性。综上所述,本实验选用方案2。3.细化框图该设计划分为六个功能子模块,分别如下:3.1汽车尾灯主控制模块汽车尾灯主控模块左侧灯选择控制模块输入信号右侧灯选择控制模块ld1ld2rd1rd2rd3ld33.2时钟分频模块时钟信号分频模块rd1ld13.3左侧尾灯功能模块汽车尾灯主控模块左侧灯选择控制模块左转弯控制信号ld1时钟模块时钟信号3.4右侧尾灯功能模块右转弯控制信号汽车尾灯主控模块右侧灯选择控制模块rd1时钟模块时钟信号3.5夜间行驶功能控制模块夜间行驶控制信号汽车尾灯主控模块左侧灯选择控制模块右侧灯选择控制模块ld3rd33.6刹车功能控制模块刹车控制信号汽车尾灯主控模块左侧灯选择控制模块ld2rd2右侧灯选择控制模块4.编写应用程序并仿真4.1汽车尾灯主控制模块ctrl数据入口:right:右转信号;left:左转信号;brake:刹车信号;night:夜间行驶信号;数据出口:lp:左侧灯控制信号;rp:右侧灯控制信号;lr:错误控制信号;brake_led:刹车控制信号;night_led:夜间行驶控制信号;程序功能描述: 该段程序用于对汽车尾灯进行整体控制,当输入为左转信号时,输出左侧灯控制信号;当输入为右转信号时,输出右侧灯控制信号;当同时输入left和right信号时,输出错误控制信号。当输入为刹车信号时,输出刹车控制信号;当输入为夜间行驶信号时,输出为夜间行驶控制信号。具体操作:library ieee;use ieee.std_logic_1164.all;entity ctrl is port(left,right,brake,night: in std_logic; lp,rp,lr,brake_led,night_led: out std_logic);end entity ctrl;architecture art of ctrl is begin night_led=night; brake_ledlp=0;rp=0;lrlp=0;rp=1;lrlp=1;rp=0;lrlp=0;rp=0;lr=1; end case; end process;end architecture art;仿真波形图如下:4.2时钟分频模块sz数据输入:clk:时钟输入信号;数据输出: cp:尾灯闪烁触发信号;程序功能描述: 本模块用于尾灯的闪烁控制,首先定义一个八位的标准逻辑位矢量数据类型,用于时钟上升沿的累加,将八位的标准逻辑位矢量数据的第五位作为尾灯闪烁触发信号输出。具体操作:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sz is port(clk: in std_logic; cp: out std_logic);end entity sz;architecture art of sz is signal count:std_logic_vector(7 downto 0); begin process(clk) begin if clkevent and clk=1 then count=count+1; end if; end process; cp=count(3);end architecture art;仿真波形图:4.3右侧尾灯控制模块rc数据入口: clk:时钟控制信号;rp:右侧灯控制信号;lr:错误控制信号;brake:刹车控制信号;night:夜间行驶控制信号;数据出口:ledr:右侧rd1灯控制信号;ledb:右侧rd2灯控制信号;ledn:右侧rd3灯控制信号;程序功能描述: 本描述用于控制右侧灯的亮、灭和闪烁情况,当时钟上升沿信号和右侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,右侧相应的灯亮或出现闪烁。当错误控制信号出现时,rd1灯不亮。具体操作:library ieee;use ieee.std_logic_1164.all;entity rc is port(clk,rp,lr,brake,night: in std_logic; ledr,ledb,ledn: out std_logic);end entity rc;architecture art of rc is begin ledb=brake; ledn=night; process(clk,rp,lr) begin if clkevent and clk=1 then if(lr=0) then if(rp=0) then ledr=0; else ledr=1; end if; else ledr=0; end if; end if; end process;end architecture art;波形仿真图:4.4左侧尾灯控制模块lc数据入口: clk:时钟控制信号;lp:左侧灯控制信号;lr:错误控制信号;brake:刹车控制信号;night:夜间行驶控制信号;数据入口:ledl:左侧ld1灯控制信号;ledb:左侧ld2灯控制信号;ledn:左侧ld3灯控制信号;程序功能描述:本程序用于控制左侧灯的亮、灭和闪烁情况,当时钟上升沿信号和左侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,左侧相应的灯亮或出现闪烁。当错误控制信号出现时,ld1灯不亮。具体操作:library ieee;use ieee.std_logic_1164.all;entity lc is port(clk,lp,lr,brake,night: in std_logic; ledl,ledb,ledn: out std_logic);end entity lc;architecture art of lc is begin ledb=brake; ledn=night; process(clk,lp,lr) begin if clkevent and clk=1 then if(lr=0) then if(lp=0) then ledl=0; else ledl=1; end if; else ledl=0; end if; end if; end process;end architecture art;波形仿真图:5.全系统联调5.1顶层原理图5.2仿真结果5.3仿真波形分析 输入刹车信号一直为高电平,输出ld2灯和rd2灯也为长亮;左转信号为高电平时,ld1灯闪烁,右转信号为高电平时,rd1灯闪烁;当左转信号和右转信号同时为高电平时,ld1灯和rd1灯都不亮;夜间行驶信号为高电平时,ld3灯和rd3灯同时亮。波形仿真结果满足预期的功能。6 硬件测试及说明6.1 引脚锁定选用模式5进行硬件测试,时钟clk接clock2,通过短路帽选择8hz信号;键1键4分别控制输入left、right、brake、night;d1d6分别为输出ld1、ld2、ld3、rd1、rd2、rd3。6.2 信号名与引脚对照表输入信号按键信号脚引脚号clkclock2clock217left键1pio01right键2pio12brake键3pio23night键4pio34输出灯信号脚引脚号ld1d1pio811ld2d2pio932ld3d3pio1033rd1d4pio1134rd2d5pio1235rd3d6pio13366.3 硬件测试1、按亮键1,d1灯闪亮,表示车辆左转。2、按亮键2,d4灯闪亮,表示车辆右转。3、同时按亮键1和键2,所有的灯都不亮,表示出现错误的输入信号。4、在3的基础上,按灭键1,d4灯闪亮,表示车辆右转;按灭键2,d1灯闪亮,表示车辆左转。5、按亮键3,d2和d5灯长亮,表示车辆刹车。6、按亮键4,d3和d6灯长亮,表示车辆夜间行驶。7、同时按亮键3和键4,d2、d3、d5、d6都长亮,表示车辆在夜间行驶时刹车。8、同时按亮键3、键4、键1,d2、d3、d5、d6长亮,d1灯闪烁,表示车辆夜间行驶并在左转时刹车。9、同时按亮键3、键4、键2,d2、d3、d5、d6长亮,d4灯闪烁,表示车辆夜间行驶并在右转时刹车。10、同时按亮键3、键1,d2和d5灯长亮,d1灯闪烁,表示车辆左转时刹车。11、同时按亮键3、键2,d2和d5灯长亮,d4灯闪烁,表示车辆左转时刹车。12、同时按亮键4、键1,d3和d6灯长亮,d1灯闪烁,表示车辆夜间行驶时左转。13、同时按亮键4、键2,d3和d6灯长亮,d4灯闪烁,表示车辆夜间行驶时左转。综上,硬件测试结果满足方案中的功能要求。7结论经过波形仿真和硬件测试知,该设计方案完全符合预期的功能。8课程总结经过七周的上机实验,我熟练掌握了quartusii软件的使用方法和设计流程,掌握了图形输入法和利用vhdl语言进行自顶向下设计的流程。也能够将编译和仿真成功的程序下载到康芯公司的cyclone芯片ep1c3t144c8中在gw48教学试验系统中进行硬件仿真。vhdl语言是目前超大规模集成电路设计中不可缺少的工具之一,其在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。作为一名自动化专业的本科生,应该系统的掌握这门课并且能够在模仿别人的设计思路的基础上有所创新。eda课程实践给了我一个将理论与实践相结合的机会。在前六周的上机课中,我一直在弄懂实验指导书上已经给出的实验原理和验证已经给出源程序中度过,虽然只是在验证别人的设计思路,每一次的硬件仿真成功还是给了我莫大的成功的喜悦。每一次的试验过程中都会出现一些错误,有人为原因也有硬件的原因,但大部分情况下,我都能找出原因并解决它。实在不能解决的时候,我会询问老师,并且能够在解决问题之后进行反思,告诫自己在以后的试验中要避免出现类似的问题。最后两周的课程设计中,我选择的课题不是很难,但是我还是在试验前查阅了很多资料,并预先编写了源代码和进行编译、仿真。所以真正考核的时候,比较顺利。9参考文献目录1、潘松、黄继业编著,eda技术与vhdl,北京:清华大学出版社;2、雷伏荣编著,vhdl电路设计,北京:清华大学出版社;3、潘松、黄继业编著,eda技术实用教程,北京:科学出版社4、/5、万方数据库:http:/6、/。10附录(源程序)汽车尾灯主控制模块ctrl:library ieee;use ieee.std_logic_1164.all;entity ctrl is port(left,right,brake,night: in std_logic; lp,rp,lr,brake_led,night_led: out std_logic);end entity ctrl;architecture art of ctrl is begin night_led=night; brake_ledlp=0;rp=0;lrlp=0;rp=1;lrlp=1;rp=0;lrlp=0;rp=0;lr=1; -输出错误控制信号 end case; end process;end architecture art;时钟分频模块sz:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sz is port(clk: in std_logic; -时钟输入 cp: out std_logic);end entity sz;architecture art of sz is signal count:std_logic_vector(7 downto 0); -定义八位标准逻辑位矢量数据类型 begin process(clk) begin if clkevent and clk=1 then -检测时钟上升沿 count=count+1; end if; end process; cp=count(3); -输出第五位end architecture art;右边尾灯控制模块rc:library ieee;use ieee.std_logic_1164.all;entity rc is port(clk,rp,lr,brake,night: in std_logic; ledr,ledb,ledn: out std_logic);end entity rc;architecture art of rc is begin ledb=brake; ledn=night; process(clk,rp,lr) begin if clkevent and clk=1 then -检测时钟上升沿 if(lr=0) then if(rp=0) then ledr=0; else ledr=1; end if; else ledr=0; end if; end if; end process;end architecture art;左边尾灯控制模块lc:library ieee;use ieee.std_logic_1164.all;entity lc is port(clk,lp,lr,brake,night: in std_logic; ledl,ledb,ledn: out std_logic);end entity lc;architecture art of lc is begin ledb=brake; ledn=night; process(clk,lp,lr) begin if clkevent and clk=1 then -检测时钟上升沿 if(lr=0) then if(lp=0) then ledl=0; else ledl=1; end if; else ledl=0; end if; end if; end process;end architecture art;元件例化library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity tp is port(clk:in std_logic;left:in std_logic;right:in std_logic;brake:in std_logic;night:in std_logic;ld1,ld2,ld3:out std_logic;rd1,rd2,rd3:out std_logic);end;architecture bh of tp is component sz ispo

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论